tldr/pages.zh/common/hexdump.md

17 lines
471 B
Markdown
Raw Blame History

This file contains ambiguous Unicode characters!

This file contains ambiguous Unicode characters that may be confused with others in your current locale. If your use case is intentional and legitimate, you can safely ignore this warning. Use the Escape button to highlight these characters.

# hexdump
> 一个 ASCII十进制十六进制八进制转换查看工具。
> 更多信息:<https://manned.org/hexdump>.
- 打印文件的十六进制表示形式:
`hexdump {{路径/到/文件}}`
- 以十六进制显示输入偏移量,并在最后两列中显示其 ASCII 表示形式:
`hexdump -C {{路径/到/文件}}`
- 显示文件的十六进制表示,但只解释输入的 N 个字节:
`hexdump -C -n{{字节数}} {{路径/到/文件}}`