tldr/pages.ta/common/iverilog.md

2.0 KiB

iverilog

வெரிலாக் HDL (IEEE-1364) குறியீட்டை உருவகப்படுத்துதலுக்காக இயங்கக்கூடிய நிரல்களாக முன்செயலாக்கி தொகுக்கிறது. மேலும் விவரத்திற்கு: https://github.com/steveicarus/iverilog.

  • ஒரு மூல கோப்பை இயங்கக்கூடியதாக தொகுக்கவும்:

iverilog {{பாதை/டு/மூல.v}} -o {{பாதை/டு/செயல்படுத்தக்கூடியது}}

  • அனைத்து எச்சரிக்கைகளையும் காண்பிக்கும் போது ஒரு மூலக் கோப்பை இயங்கக்கூடியதாக தொகுக்கவும்:

iverilog {{பாதை/டு/மூல.v}} -Wall -o {{பாதை/டு/செயல்படுத்தக்கூடியது}}

  • VVP இயக்க நேரத்தைப் பயன்படுத்தி வெளிப்படையாக தொகுத்து இயக்கவும்:

iverilog -o {{பாதை/டு/செயல்படுத்தக்கூடியது}} -tvvp {{பாதை/டு/மூல.v}}

  • வேறொரு பாதையிலிருந்து வெரிலாக் நூலகக் கோப்புகளைப் பயன்படுத்தி தொகுக்கவும்:

iverilog {{பாதை/டு/மூல.v}} -o {{பாதை/டு/செயல்படுத்தக்கூடியது}} -I{{பாதை/டு/நூலகம்_கோப்பகம்}}

  • தொகுக்காமல் வெரிலாக் குறியீட்டை முன்கூட்டியே செயலாக்கவும்:

iverilog -E {{பாதை/டு/மூல.v}}